稲木雅人のプロフィール / Inagi's Profile
(email: inagi アットマーク hiroshima-cu.ac.jp)

基本情報研究テーマ論文リスト委員等論理回路システム研究 室

基本情報

顔写真

氏名 / Name
    稲木 雅人 (いなぎ まさと) / Masato INAGI
所属 / Affiliation
    広島市立大学 / Hiroshima City University
    大学院 情報科学研究科 / Graduate School of Information Sciences
    情報工学専攻 / Department of Computers and Network Engineering
    論理回路システム研究室 / Logic Circuit System Lab.
職位 / Job Title
    講師 / Lecturer (Assistant Professor)
学位 / Academic Title
    東京工業大学博士(工学)(2008) / Ph.D. in Engineering from Tokyo Institute of Technology
専門分野 / Major
    VLSI自動設計 / VLSI Design Automation
メール / Mail
    inagi"-atmark-"hiroshima-cu.ac.jp
居室 / Location
    情報科学部棟 412号室 / Faculty of Information Sciences, room 412
経歴 / Academic background and Career
    2000 東京工業大学 工学部 情報工学科 卒業 (梶谷高橋研)
    2000 received B.E. in Computer Science from Tokyo Institute of Technology (Kajitani & Takahashi Lab.)
    2002 東京工業大学 大学院 理工学研究科 集積システム専攻 修士課程 修了 (梶谷高橋研)
    2002 received M.E. in Integrated Systems from Tokyo Institute of Technology (Kajitani & Takahashi Lab.)
    2003 ハノーバー大学 マイクロエレクトロニックシステム研究所(12月-3月) (Pirsch研)
    2003 visited Hannover University (Architectures and Systems Group, Institute of Microelectronic Systems)
          as an exchange student from Dec. to March

    2005 東京工業大学 大学院 理工学研究科 集積システム専攻 博士課程 単位取得退学 (高橋(篤)研)
    2005 left Tokyo Institute of Technology (Takahashi Lab.)
    2008 東京工業大学 大学院 理工学研究科 集積システム専攻 博士号取得
    2008 received Ph.D. from Tokyo Institute of Technology
    2005 - 2007 北九州産業学術推進機構 一般研究員 (知的クラスタ)
    2005 - 2007 Researcher at Kitakyushu Foundation for the Advancement of Industory, Sciences and Tchnology
    2005 - 2008 北九州市立大学 国際環境工学部 特任研究員(梶谷宮下中武高島グループ)
    2005 - 2007 Researcher at the University of Kitakyushu (Kajitani & Miyashita & Nakatake & Takashima group)
    2008 - 2018 広島市立大学 大学院 情報科学研究科 情報工学専攻 助教 (論理回路システム研究室)
    2008 - 2018 Research Associate at Hiroshima City University (Logic Circuit System Lab.)
    2018 - 現職 広島市立大学 大学院 情報科学研究科 情報工学専攻 講師 (論理回路システム研究室)
    2018 - ---- Lecturer at Hiroshima City University (Logic Circuit System Lab.)


研究テーマ

マルチFPGAプロトタイピング
並列レイアウトアルゴリズム
再構成可能デバイス用配置配線アルゴリズム
文字列照合技術とその応用

論文リスト

論文誌等 (Journals/Transactions)
[JP8] M. Inagi, Y. Nakamura, Y. Takashima, S.Wakabayashi, "Inter-FPGA Routing for Partially Time-Multiplexing Inter-FPGA Signals on Multi-FPGA Systems with Various Topologies," IEICE Trans. on Fundamentals, Vol. E98-A, No.12, pp.2572-2583, Dec. 2015.

[JP7] Y. Wakaba, S. Wakabayashi, S. Nagayama, M. Inagi, "An Area Efficient Regular Expression Matching Engine Using Partial Reconfiguration for Quick Pattern Updating," IPSJ Transactions on System LSI Design Methodology, Vol. 7, pp.110-118, August 2014.

[JP6] 若葉陽一, 若林真一, 稲木 雅人, 永山忍, "シストリックアルゴリズムとNFAに基づくパターン非依存正規表現マッチングハードウェア," 電子情報通信学会論文誌D, Vol.J96-D, No.10, pp.2139-2149, Oct. 2013.
(Y. Wakaba, S. Wakabayashi, M. Inagi, S. Nagayama, "Pattern-Independent Regular Expression Matching Hardware Based on Systolic Algorithm and NFA," IEICE Trans. on Information and Systems, Vol.J96-D, No.10, pp.2139-2149, Oct. 2013. (in Japanese) )

[JP5] M. Nakamura, M. Inagi, K. Tanigawa, T. Hironaka, M. Sato, T. Ishiguro, "A Physical Design Method for a New Memory-Based Reconfigurable Architecture without Switch Blocks," IEICE Trans. on Information and Systems, Vol.E95-D, No.2, pp.324-334, February 2012.

[JP4] M. Inagi, Y. Takashima, Y. Nakamura, "Globally Optimal Time-multiplexing of Inter-FPGA Connections for Multi-FPGA Prototyping Systems," IPSJ Trans. on System LSI Design Methodology, Vol.3, pp.81-90, February 2010.

[JP3] M. Inagi, Y. Takashima, Y. Nakamura, and A. Takahashi, "Optimal Time-multiplexing in Inter-FPGA Connections for Accelerating Multi-FPGA Prototyping Systems," IEICE Trans. on Fundamentals, Vol.E91-A, No.12, pp.3539-3547, December 2008.

[JP2] M. Inagi, Y. Takashima, Y. Nakamura, and Y. Kajitani, "A Performance-driven Bipartitioning Method for Multi-FPGA Implementation with Time-multiplexed I/Os," IEICE Trans. on Fundamentals, Vol.E90-A, No.5, pp.924-931, May 2007.

[JP1] K. R. Azegami, M. Inagi, A. Takahashi, and Y. Kajitani, "An Improvement of Network-Flow Based Multi-Way Circuit Partitioning Algorithm," IEICE Trans. on Fundamentals, Vol.E85-A, No.3, pp.655-663, March 2002.
国際会議等 (International Conferences)
[ICP27] Yuri Itotani, Shin'ichi Wakabayashi, Shinobu. Nagayama, Masato Inagi, "An Approximate Nearest Neighbor Search Algorithm Using Distance-based Hashing," Proc. the 28th International Conference on Database and Expart Systems Applications (DEXA2018), pp.203-2013, Sep. 2018. (Regensburg, Germany)

[ICP26] Gaku Kataoka, Masato Inagi, Shin'ichi Wakabayashi, Shinobu Nagayama, "Novel Feature Vectors Considering Distances between Wires for Lithography Hotspot Detection," Proc. the 21st Euromicro conference on Digital Sytem Design (DSD2018), pp.85-90, Aug. 2018. (Prague, Czech)

[ICP25] T. Ito, Y. Itotani, S. Wakabayashi, S. Nagayama, M. Inagi, "An FPGA-based Nearest Neighbor Search Engine Using Distance-based Hashing for High-Dimensional Data," Proc. the 21st Workshop on Synthesis And System Integration of Mixed Information Technology (SASIMI2018), March 2018. (Matsue, Japan)

[ICP24] B. Xue, S. Nagayama, M. Inagi, S. Wakabayashi, "A Programmable Architecture Based on Vectorized EVBDDs for Network Intrusion Detection Using Random Forests," Proc. the 2017 International Symposium on Nonlinear Theory and Its Applications (NOLTA2017), Dec. 2017. (Cancun, Mexco)

[ICP23] S. Tamagawa, M. Inagi, S. Nagayama, S. Wakabayashi, "Table Reference-Based Accleleration of a Lithography Hotspot Detection Method Based on Approximate String Search," Proc. the tenth international conference on advances in Circuits, Electronics and micro-electroNICS (IARIA CENICS2017), pp.8-14, Sep. 2016. (Rome, Italy)

[ICP22] Y. Arai, S. Wakabayashi, S. Nagayama, M. Inagi, "An Efficient FPGA Implementation of Maharanobis Distance-Based Outlier Detection for Streaming Data," Proc. international conference on Field Programmable Technology (IEEE FPT2016), pp.251-256, Dec. 2016. (Xi'an, China)

[ICP21] T. Hashimoto, S. Wakabayashi, S. Nagayama, M. Inagi, R. Koishi, H. Takaguchi, "A High-Speed Programmable Network Intrusion Detection System Based on a Multi-Byte Transition NFA," Proc. the ninth international conference on advances in Circuits, Electronics and micro-electroNICS (IARIA CENICS2016), pp.45-51, July 2016. (Nice, France)

[ICP20] S. Tamagawa, R. Fujimoto, M. Inagi, S. Nagayama, S. Wakabayashi, "A Hotspot Detection Method Based on Approximate String Search," Proc. the ninth international conference on advances in Circuits, Electronics and micro-electroNICS (IARIA CENICS2016), pp.6-12, July 2016. (Nice, France)

[ICP19] H. Nishiyama, M. Inagi, S. Wakabayashi, S. Nagayama, K. Inoue, M. Kaneko, "An ILP-based Optimal Circuit Mapping Method for PLDs," Proc. the 21st Reconfigurable Architecture Workshop (RAW2014/IEEE IPDPSW2014), pp.251-256, May 2014. (Phoenix, USA)

[ICP18] H. Takaguchi, Y. Wakaba, S. Wakabayashi, S. Nagayama, M. Inagi, "An NFA-Based Programmable Regular Expression Matching Engine Highly Suitable for FPGA Implementation," In Proc. the 18th Workshop on Synthesis And System Integration of Mixed Information Technology (SASIMI2013), pp.231-236, Oct. 2013. (Sapporo, Japan)

[ICP17] Y. Shintani, M. Inagi, S. Nagayama, S. Wakabayashi, "A Multithreaded Parallel Global Routing Method with Overlapped Routing Regions," In Proc. the 2013 Euromicro Conference on Digital System Design (DSD2013), pp.591-597, Sept. 2013. (Santander, Spain)

[ICP16] Y. Wakaba, S. Nagayama, S. Wakabayashi, M. Inagi, "A Flexible and Compact Regular Expression Matching Engine Using Partial Reconfiguration for FPGA," In Proc. the 2013 Euromicro Conference on Digital System Design (DSD2013), pp.293-296, Sept. 2013. (Santander, Spain)

[ICP15] Y. Tanihara, M. Inagi, S. Wakabayashi, S. Nagayama, "GPGPU Implementation of Tabu Search for the Quadratic Assignment Problem," In Proc. the 27th Int. Tech. Conf. on Circuits/Systems, Computers and Communications (ITC-CSCC2012), July 2012. (Sapporo, Japan)

[ICP14] Y. Utan, M. Inagi, S. Wakabayashi, S. Nagayama, "A GPGPU Implementation of Approximate String Matching with Regular Expression Operators and Comparison with Its FPGA Implementation," In Proc. the 2012 Int. Conf. on Parallel and Distributed Processing Techniques and Applications (PDPTA2012), pp.644-649, July 2012. (Las Vegas, USA)

[ICP13] Y. Wakaba, M. Inagi, S. Wakabayashi, "A Practical FPGA Implementation of Regular Expression Matching with Look-ahead Assertion," In Proc. the 2012 Int. Conf. on Engineering of Reconfigurable Systems and Algorithms (ERSA2012), pp.105-110, July 2012. (Las Vegas, USA)

[ICP12] Y. Wakaba, S. Nagayama, M. Inagi, S. Wakabayashi, "A Matching Method for Look-ahead Assertion on Pattern Independent Regular Expression Matching Engine," In Proc. the 17th Workshop on Synthesis And System Integration of Mixed Information Technology (SASIMI2012), pp.361-366, March 2012. (Beppu, Japan)

[ICP11] M. Inagi, M. Nakamura, T. Hironaka, T. Ishiguro, "Net-based Move in SA-based Placement for a Switch-block-free Reconfigurable Device," In Proc. the 17th Workshop on Synthesis And System Integration of Mixed Information Technology (SASIMI2012), pp.239-240, March 2012. (Beppu, Japan)

[ICP10] M. Nakamura, M. Inagi, K. Tanigawa, T. Hironaka, M. Sato, T. Ishiguro, "EDA Environment for Evaluating a New Switch-Block-Free Reconfigurable Architecture," In Proc. the 2011 Int. Conf. on ReConFigurable Computing and FPGAs (ReConFig2011), pp.448-454, Nov. 2011. (Cancun, Mexco)

[ICP9] Y. Wakaba, M. Inagi, S. Wakabayashi, S. Nagayama, "An Efficient Hardware Matching Engine for Regular Expression with Nested Kleene Operators," In Proc. the 21st Int. Conf. on Field Programmable Logic and applications (FPL2011), pp.157-161, Sept. 2011. (Ceret, Greece)

[ICP8] Y. Wakaba, M. Inagi, S. Wakabayashi, S. Nagayama, "An Extension of Systolic Regular Expression Matching Hardware for Handling Iteration of Strings Using Quantifiers," In Proc. the 16th Workshop on Synthesis and System Integration of Mixed Information technologies (SASIMI2010), pp.412-417, Oct. 2010. (Taipei, Taiwan)

[ICP7] M. Inagi, Y. Takashima, Y. Nakamura, "Globally Optimal Time-multiplexing in inter-FPGA Connections for Accelerating Multi-FPGA Systems," In Proc. Int. Conf. on Field Programmable Logic and Applications 2009 (FPL2009), pp.212-217, Sep. 2009. (Prague, Czech)

[ICP6] M. Inagi, Y. Takashima, Y. Nakamura, "Evaluation of Introducing Multiple Time-multiplexing Degrees to Inter-FPGA Connections on Multi-FPGA Systems," In Proc. Int. Technical Conf. on Circuit/Systems Computers and Communications 2009 (ITC-CSCC2009), pp.1032-1035, July 2009. (Jeju, Korea)

[ICP5] Y. Wakamoto, S. Nagayama, M. Inagi, S. Wakabayashi, "Design and FPGA Implementation of Efficient Discrete Function Generators Using Piecewise Polynomial Approximations," In Proc. Int. Technical Conf. on Circuit/Systems Computers and Communications 2009 (ITC-CSCC2009), pp.1016-1019, July 2009. (Jeju, Korea)

[ICP4] T. Sato, M. Inagi, S. Nagayama, S. Wakabayashi, "A Parallel Simulated Annealing for LSI Floorplanning Running on Multi-core Processors," In Proc. Int. Technical Conf. on Circuit/Systems Computers and Communications 2009 (ITC-CSCC2009), pp.851-854, July 2009. (Jeju, Korea)

[ICP3] M. Inagi, Y. Takashima, Y. Nakamura, and A. Takahashi, "ILP-Based Optimization of Time-Multiplexed I/O Assignment for Multi-FPGA Systems," In Proc. IEEE Int. Symposium on Circuit and Systems 2008 (ISCAS2008), pp.1800-1803, June 2008. (Seatle, USA)

[ICP2] M. Inagi, Y. Takashima, Y. Nakamura, and Y. Kajitani, "A Performance-driven Circuit Bipartitioning Algorithm for Multi-FPGA Implementation with Time-multiplexed I/Os," In Proc. IEEE Int. Conference on Field Programmable Technology 2006 (ICFPT2006), pp.361-364, December 2006. (Bangkok, Tailand)

[ICP1] M. Inagi, and A. Takahashi, "Network-Flow Based Delay Aware Partitioning Algorithm," In Proc. the 13th Workshop on Synthesis and System Integration of Mixed Information technologies (SASIMI2006), pp.417-422, April 2006. (Nagoya, Japan)
国内会議等 (Domestic Conferences)
浅野 翔太郎, 稲木 雅人, 永山 忍, 若林 真一, "連立一次方程式に対する共役勾配法のGPU実装と実験的評価," DAシンポジウム2014論文集 (DAS2014), pp.227-232, Aug. 2014.

芳賀 裕介, 永山 忍, 若林 真一, 稲木 雅人, "連立一次方程式に対する共役勾配法のFPGA実装と実験的評価," 第27回 回路とシステムワークショップ (KWS2014) 予稿集, pp.80-85, Aug. 2014.

若葉 陽一, 永山 忍, 若林 真一, 稲木 雅人, "高速なパターン更新のためのFPGAの部分再構成を利用したコンパクトな正規表現マッチングハードウェア", 第26回回路とシステムワークショップ (KWS2013), pp.191-196, July 2013.

若葉 陽一, 稲木 雅人, 永山 忍, 若林 真一, "ネットワーク侵入検知のためのパターン非依存NFAとシストリックアルゴリズムを組み合わせた正規表現マッチングエンジン", 第10回情報科学技術フォーラム (FIT2011) (RC-006), pp.95-102, Sept. 2011.

M. Inagi, T. Sato, S. Nagayama, S. Wakabayashi, "LSI Floorplanning Based on Parallel Simulated Annealing for Muticore Processors," In Proc. DA Symposium 2009 (ISPJ Symposium Series Vol.2009, No.7,) pp.61-66, August 2009.

高島 康裕, 志岐 卓信, 稲木 雅人, 中村 祐一, "製造後クロック調整におけるばらつき推定の一手法", 第22回回路とシステム軽井沢ワークショップ 論文集, pp.450-455, April 2009.

若葉 陽一, 川中 洋祐, 永山 忍, 稲木 雅人, 若林 真一, "Snortルールを入力とするネットワーク侵入検知ハードウェアの開発", 第71回情報処理学会全国大会予稿集 4K-6, March 2009.

佐藤 友昭, 稲木 雅人, 永山 忍, 若林 真一, "マルチスレッディングに基づく並列フロアプランニング手法の開発と評価", 第71回情報処理学会全国大会予稿集 3L-1, March 2009.

若本 雄大, 永山 忍, 稲木 雅人, 若林 真一, "FPGA実装に適した離散データの近似多項式生成手法", 第71回情報処理学会全国大会予稿集 2L-5, March 2009.

M. Inagi, and A. Takahashi, "Network-Flow Based Delay-Aware Circuit Partitioning Algorithm", 第16回 回路とシステム(軽井沢)ワークショップ 論文集, pp.201-206, April 2003.

稲 木 雅人, 高橋 篤司, 梶谷 洋司, "近接度に着目した入出力ピン配置アルゴリズム", 電子情報通信学会 基礎・境界ソサイエティ大会 論文集, Vol.A, A-3-1, pp.68, September 2000.
研究会等 (Domestic Seminors/Technical Reports)
湯浅 佑介, 永山 忍, 稲木 雅人, 若林 真一, "モチーフ抽出問題におけるモンテカルロ木探索に基づく良質な初期解の生成手法の提案", 多値論理研究会 第41回 多値論理フォーラム, Sep. 2018.

増田 祥吾, 永山 忍, 稲木 雅人, 若林 真一, "RBF近似関数の再構成可能な数値計算回路の設計", 多値論理研究会 第41回 多値論理フォーラム, Sep. 2018.

片岡 岳, 稲木 雅人, 永山 忍, 若林 真一, "配線間距離を考慮した特徴量 に基づくリソグラフィホットスポット検出手法の検討", 電子情報通信学会 技術研究報告(VLD2017-105), vol.117, No.455, pp.97-102, Feb. 2018.

湯浅 佑介, 永山 忍, 稲木 雅人, 若林 真一, "モンテカルロ木探索を用いたモチーフ 抽出法の提案と実験的評価", 電子情報通信学会 技術研究報告(VLD2017-108), vol.117, No.455, pp.115-120, Feb. 2018.

増田 祥吾, 永山忍, 稲木雅人, 若林真一, "FPGA実装によるRBF近似関数 の高速計算について", 電子情報通信学会 技術研究報告(VLD2017-89), vol.117, No.455, pp.1-6, Feb. 2018.

薛 斌斌, 永山 忍, 稲木 雅人, 若林 真一, "ランダムフォレストに基づく書き換え 可能なネットワーク侵入検知ハードウェアのメモリ量削減について", 電子情報通信学会 技術研究報告(VLD2017-90), vol.117, No.455, pp.7-12, Feb. 2018.

荒井 悠人, 若林 真一, 永山 忍, 稲木 雅人, "高次元データに対するLSH法を用いたk近傍探索ハー ドウェア", 電子情報通信学会 技術研究報告(VLD2017-91), vol.117, No.455, pp.13-18, Feb. 2018.

薛 斌斌, 永山 忍, 稲木 雅人, 若林 真一, "ランダムフォレストに基づく再構成可 能なネットワーク侵入検知システムの一実装法", 電子情報通信学会 技術研究報告(VLD2017-10), vol.117, No.97, pp.37-42, June 2017.

増田 祥吾, 永山忍, 稲木雅人, 若林真一, "勾配法を用いた自動車エン ジン制御パラメータの最適化手法", 電子情報通信学会 技術研究報告(VLD2017-9), vol.117, No.97, pp.31-36, June 2017.

玉川 宗磨, 稲木 雅人, 永山 忍, 若林 真一, "LSIマスクパターンに対する 近似文字列照合に基づくホットスポット検出手法の高速化", 電子情報通信学会 技術研究報告(VLD2016-112), vol.116, No.478, pp.61-66, March 2017.

橋本 智明, 永山 忍, 稲木 雅人, 若林 真一, "ネットワーク侵入検知の ためのスクリーニング回路に対する最適スクリーニングパターン生成について", 電子情報通信学会 技術研究報告(VLD2016-108), vol.116, No.478, pp.37-42, March 2017.

荒井 悠人, 若林 真一, 永山 忍, 稲木 雅人, "ストリームデータ に対するマハラノビス距離に基づく外れ値検出手法のFPGA実装", 電子情報通信学会 技術研究報告(RECONF2016-72), vol.116, No.417, pp.141-146, Jan. 2017.

高口 裕貴, 若林 真一, 永山 忍, 稲木 雅人, "高速ネットワーク における侵入検知に対するスクリーニング回路とFPGA実装", 電子情報通信学会 技術研究報告(VLD2015-119), Vol.115, No.465, pp.49-54, Feb. 2016.

芳賀 裕介, 永山 忍, 若林 真一, 稲木 雅人, "数値流体力学にお けるMacCormack法を実現する並列アルゴリズムとそのFPGA実装", 電子情報通 信学会 技術研究報告(VLD2015-93), Vol.115, No.400, pp.137-142, Jan. 2016.

浅野 翔太郎, 稲木 雅人, 永山 忍, 若林 真一, "外れ値検出に対するMSD 法のGPU実装と実験的評価", 電子情報通信学会 技術研究報告(CPSY2015-115), Vol.115, No.399, pp.37-42, Jan. 2016.

玉川 宗磨, 藤本 涼, 稲木 雅人, 永山 忍, 若林 真一, "LSIマスクパターンに対する近似文字列照合に基づくホットスポット検出手法の一検討", 電子情報通信学会 技術研究報告(FIIS-15-401), FIIS15, No.401, pp.1-8, June 2015.

芳賀 裕介, 永山 忍, 若林 真一, 稲木 雅人, "数値流体力学におけるLax-Friendrichsスキームを実現する並列アルゴリズムとそのFPGA実装", 電子情報通信学会 技術研究報告(VLD2014-180), Vol.114, No.476, pp.153-158, March 2015.

若林 真一, 橋本 智明, 小石 涼平, 高口 裕貴, 永山 忍, 稲木 雅人, "高速ネットワークにおける多バイト遷移非決定性有限オートマトンによる侵入検知", 電子情報通信学会 技術研究報告(RECONF2014-66), Vol.114, No.428, pp.133-138, Jan. 2015.

浅野 翔太郎, 稲木 雅人, 永山 忍, 若林 真一, "GPUにおける疎行列ベクトル積の実装と共役勾配法への適用", 電子情報通信学会 技術研究報告(CPSY2014-150), Vol.114, No.427, pp.181-186, Jan. 2015.

稲木 雅人, 中村 祐一, 高島 康裕, 若林 真一, "多様なトポロジーを持つマルチFPGAシステムのためのFPGA間信号多重化手法," 電子情報通信学会 技術研究報告(RECONF2014-23), Vol.114, No.223, pp.35-40, Sept. 2014.

谷原 勇樹, 稲木 雅人, 若林 真一, 永山 忍, "分子生物学におけるモチーフ抽出問題に対する並列タブー探索法とGPU実装", 電子情報通信学会 技術研究報告(VLD2013-144), Vol.113, No.454, pp.61-66, March 2014.

三浦 智香子, 永山 忍, 若林 真一, 稲木 雅人, "大規模グラフの最大クリーク問題に対するソフトウェア・再構成可能ハードウェア協調解法", 電子情報通信学会 技術研究報告(VLD2013-103, RECONF2013-57), Vol.113, No.418, pp.7-12, Jan. 2014.

山下 智也, 稲木 雅人, 谷川一哉, 弘中哲夫, 石黒隆, "再構成可能デバイスMPLDの配置配線ツールを用いたアーキテクチャ評価", 電子情報通信学会 技術研究報告(RECONF2013-55), Vol.113, No.325, pp.87-92, Nov. 2013.

西山 大樹, 稲木 雅人, 永山 忍, 若林 真一, "クリティカルパス長最小化を目的とした整数計画法に基づくPLD配置配線手法", 電子情報通信学会 技術研究報告(RECONF2013-49), Vol.113, No.325, pp.57-62, Nov. 2013.

新谷 康弘, 稲木 雅人, 永山 忍, 若林 真一, "マルチコアプロセッサのための配線領域共有並列概略配線手法", 電子情報通信学会 技術研究報告(VLD2012-150), Vol.112, No.451, pp.83-88, March 2013.

山下 智也, 稲木 雅人, 谷川 一哉, 弘中 哲夫, 石黒 隆, "再構成可能デバイスMPLDのアーキテクチャ評価", 電子情報通信学会 技術研究報告(RECONF2012-61), Vol.112, No.377, pp.1-6, Jan. 2013.

三浦 智香子, 永山 忍, 若林 真一, 稲木 雅人, "大規模グラフの最大クリーク問題に対する部分再構成可能FPGAを用いたハードウェア解法", 電子情報通信学会 技術研究報告(RECONF2012-53), Vol.112, No.325, pp.33-38, Nov. 2012.

西山 大樹, 稲木 雅人, 若林 真一, 永山 忍, "プログラマブル論理デバイスを対象とした配置配線問題の整数計画法に基づく定式化", 電子情報通信学会 技術研究報告(VLD2012-75), Vol.112, No.320, pp.93-98, Nov. 2012.

宇丹 裕一郎, 稲木 雅人, 永山 忍, 若林 真一, "近似正規表現マッチングのFPGAとGPGPUによる実装と比較", 電子情報通信学会 技術研究報告(VLD2011-139), Vol.111, No.450, pp.115-120, Mar. 2012.

若葉 陽一, 永山 忍, 稲木 雅人, 若林 真一, "パターン非依存正規表現マッチングエンジンに対する先読み演算の実現", 電子情報通信学会 技術研究報告(VLD2011-136), Vol.111, No.450, pp.97-102, Mar. 2012.

垰本 謙, 稲木 雅人, 川端 英之, 谷川 一哉, 弘中 哲夫, 佐藤 正幸, 石黒 隆, 北村 俊明, 中村 政智, "再構成デバイスMPLDを対象とした配置配線の改善手法の提案と評価", 電子情報通信学会 RECONF研究会(2012-01-VLD-CPSY-RECONF-SLDM), Vol.111, No.399, Jan. 2012. (当日原稿持込)

稲 木 雅人, 中村 政智, 弘中 哲夫, 石黒 隆, "再構成可能デバイスMPLDの配置設計におけるネット単位ムーブ手法の検討と評価", 電子情報通信学会 技術研究報告(VLD2011-47), Vol.111, No.101, pp.37-42, Sep. 2011.

中村 政智, 稲木 雅人, 谷川 一哉, 弘中 哲夫, 佐藤 正幸, 石黒 隆, "論理・配線資源を共用するMPLDのためのセルの過剰接近を抑えるレイアウト手法", 電子情報通信学会 技術研究報告(RECONF2010-26), Vol.110, No.204, pp.49-54, Sep. 2010.

垰本 謙, 川端 英之, 稲木 雅人, 谷川 一哉, 弘中 哲夫, 佐藤 正幸, 石黒 隆, "MPLDアーキテクチャのための配置配線支援ツール", 電子情報通信学会 技術研究報告(RECONF2010-27), Vol.110, No.204, pp.55-60, Sep. 2010.

若葉 陽一, 稲木 雅人, 永山 忍, 若林 真一, "クリーネ演算のネストに対応した効率的な正規表現マッチングハードウェア", 多値論理研究会 多値論理研究ノート, vol.33, pp.2-1 - 2-7, Sep. 2010.

若葉 陽一, 永山 忍, 若林 真一, 稲木 雅人, "量指定子による文字列の繰り返しに対応した正規表現マッチング専用ハードウェア", 電子情報通信学会 技術研究報告(VLD2009-91), vol.109, No.393, pp.137-142, Jan. 2010.

磯村 達樹, 稲木 雅人, 高島 康裕, 中村 祐一, "時間多重I/Oを考慮した回路分割手法", 電子情報通信学会 技術研究報告(VLD2008-100), vol.108, No.412, pp.51-55, Feb. 2009.

稲 木 雅人, 高島 康裕, 中村 祐一, "マルチFPGA実装における時間多重化I/O割り当て最適化手法", 電子情報通信学会 技術研究報告(CAS2007-28), Vol.107, No.101, pp.55-60, June 2007.

広松 隆幸, 稲木 雅人, 高島 康裕, 梶谷 洋司, "ポテンシャル法を用いたBGA配線手法", 電子情報通信学会 技術研究報告(VLD2006-131), Vol.106, No.548, pp.73-78, March 2007.

稲 木 雅人, 高島 康裕, 梶谷 洋司, "ピン集合間の引き出し順を考慮した配線手法", 電子情報通信学会 技術研究報告(VLD2006-130), Vol.106, No.548, pp.67-72, March 2007.

稲 木 雅人, 高島 康裕, 中村 祐一, "時間多重化I/Oを用いたマルチFPGAシステムのための回路分割アルゴリズム", 電子情報通信学会 技術報告書(CAS2006-72), Vol.106, No.512, pp.13-17, January 2007.

稲 木 雅人, 畔上 謙吾, 高橋 篤司, "回路遅延を考慮した最小カット法に基づく回路分割アルゴリズム", 電子情報通信学会 技術報告書(VLD2002-7), Vol.102, No.72, pp.37-42, May 2002.
その他 (Others)
河田 亮麻, 常定 京一郎, 永山 忍, 稲木 雅人, 若林 真一, "FPGAによる自動車エンジン内部の発熱量計算の高速化", 第69回 電気・情報関連学会中国支部連合大会 (RENTAI2018) 予稿集, R18-19-02, Oct. 2018.
新谷 康弘, 稲木 雅人, 若林 真一, "ネット長分布に注目したマルチコアプロセッサ環境におけるLSI並列概略配線の実行速度の解析", 第62回 電気・情報関連学会中国支部連合大会 (RENTAI2011) 予稿集, pp.*-*, Oct. 2011.

宇 丹 裕一朗, 永山 忍, 稲木 雅人, 若林 真一, "FPGAに基づく近似文字列照合問題のハードウェアアルゴリズム", 第61回 電気・情報関連学会中国支部連合大会 (RENTAI2010) 予稿集, pp.256-257, Oct. 2010.

稲木 雅人, 高松 邦彦, 高岡 裕, "ネットワーク利用による蔵書検索システムの構築," 第52回 全日本鍼灸学会学術大会抄録集, 1P-D, p.89, June 2003. (全日本鍼灸学会雑誌, Vol. 53, No. 3, p. 335, May 2003)

委員等 (Committee)

2018年

第31回 回路とシステムワークショップ 広報出版担当幹事
電子情報通信学会 英文論文誌(A) 2018年発行号 SoC設計手法小特集号 編集委員会 委員
電子情報通信学会 英文論文誌(A) 2019年発行号 SoC設計手法小特集号 編集委員会 幹事
電子情報通信学会 和文論文誌(A) 2019年発行号 回路とシステム小特集号 編集委員会 幹事
第69回 電気・情報関連学会中国支部連合大会 実行委員会 会場担当委員
デザインガイア2018 ローカルアレンジメント

2017年

ASP-DAC2018 TPC Physical Design Subcommittee member
第30回 回路とシステムワークショップ C分科会 企画委員
第31回 回路とシステムワークショップ 広報出版担当幹事
電子情報通信学会 英文論文誌(A) 2018年発行号 SoC設計手法小特集号 編集委員会 委員
SASIMI2018 TPC Physical Design Subcommittee member

2016年

ASP-DAC2017 TPC Physical Design Subcommittee member
第29回 回路とシステムワークショップ C分科会 世話人
電子情報通信学会 英文論文誌(A) 2017年発行号 SoC設計手法小特集号 編集委員会 委員
電子情報通信学会 和文論文誌(A) 2017年発行号 回路とシステム小特集号 編集委員会 編集担当
SASIMI2016 TPC Physical Design Subcommittee member

2015年

第28回 回路とシステムワークショップ C分科会 実行委員
電子情報通信学会 英文論文誌(A) 2016年発行号 SoC設計手法小特集号 編集委員会 委員
SASIMI2015 TPC Physical Design Subcommittee member

2014年

第27回 回路とシステムワークショップ C分科会 実行委員
電子情報通信学会 英文論文誌(A) 2015年発行号 SoC設計手法小特集号 編集委員会 委員
情報処理学会 SLDM研究会 運営委員
DAシンポジウム2014 大学幹事(正)
APC-CAS2014 Review Committee member

2013年

情報処理学会 SLDM研究会運営委員
DAシンポジウム2013 大学幹事
SASIMI2013 TPC Physical Design Subcommittee member

2012年

情報処理学会 SLDM研究会 運営委員
SASIMI2012 TPC Physical Design Subcommittee member

2011年

情報処理学会 SLDM研究会 運営委員

2004年

ASP-DAC2004 Organizing Committee, Local Arrangement Secretary